CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog ad

搜索资源列表

  1. ADCS5451A_Sample

    0下载:
  2. 用verilog语言实现的ADCS5451 AD转换芯片的控制与数据读取。-Using verilog language to achieve ADCS5451 AD converter chip control and data read.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1449
    • 提供者:贺风
  1. FPGA_Uart

    1下载:
  2. FPGA程序,verilog HDL语言编写,包含AD转换和串口发送程序,由于AD芯片种类繁多时序迥异,故主要参考串口发送程序。本程序使用quartus ii 13.0 编写。-FPGA procedures, verilog HDL language, includes an AD converter and serial transmission program, since a wide range of AD chip timing are different, so the main
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-18
    • 文件大小:676864
    • 提供者:suchenguang
  1. S16_ADC

    0下载:
  2. 用Verilog HDL语言编写的AD转换器,可以再Xilinx芯片实现,用ISE软件环境下开发-Using Verilog HDL language AD converter, you can then Xilinx chip, with the ISE software development environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1460831
    • 提供者:Shixuan Gou
  1. AD7865

    0下载:
  2. verilog HDL语言编写的16位AD采样程序,包含源码和测试文件,已通过测试-verilog HDL language 16 AD sampling procedures, including source code and test files, has been tested
  3. 所属分类:Other systems

    • 发布日期:2017-04-23
    • 文件大小:459733
    • 提供者:叶欣
  1. AD9777_SPI_CONFIG

    1下载:
  2. verilog ad9777 ad芯片的配置程序,SPI接口协议 16bit DA-Verilog ad9777 AD chip configuration program, SPI interface protocols for 16 bit DA
  3. 所属分类:transportation applications

    • 发布日期:2014-07-01
    • 文件大小:1024
    • 提供者:huo jiwei
  1. tlv2553

    0下载:
  2. verilog tlv2553 TI公司的ad芯片 在modelsim上进行波形仿真-verilog tlv2553
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:1194
    • 提供者:sunlu
  1. AD_sampling

    2下载:
  2. 基于Verilog的AD采样FPGA程序,如果使用的话,FPGA接口重新设置即可-AD Sampling verilog program that is based on FPGA,if used,the IO Pins of FPGA should be redifined
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-25
    • 文件大小:2225152
    • 提供者:wang huajia
  1. FPGA-Vrilog

    0下载:
  2. 我们课程设计的代码,课设内容是基于FPGA的时间测量和AD模数转换。该代码是用Verilog语言编写的。-Our curriculum design code, class-based content is FPGA-based time measurement and AD analog to digital conversion. The code is written in Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1516
    • 提供者:Mia
  1. 0404--AD16

    0下载:
  2. 16bits AD FPGA Verilog HDL -16bits AD FPGA Verilog HDL16bits AD FPGA Verilog HDL
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:12662
    • 提供者:lynlur
  1. ADC_pf89

    0下载:
  2. 本verilog代码通过IIC总线实现了对 PCF8591AD、DA转换芯片的控制。适用于FPGA,亲测可用。-this is used for FPGA to control PCF8591(AD/DA) chip by verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5688280
    • 提供者:xuliming
  1. addafilter

    0下载:
  2. 基于NIOSii的数字滤波器,包括AD和DA的读取输出部分,包括C语言源码和verilog源工程-digital filter based on Nios2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-19
    • 文件大小:28141568
    • 提供者:胡哲哲
  1. i2c_lightsensor

    1下载:
  2. 用Verilog HDL编写的光敏传感器AD/DA程序,AD结果显示在LCD上,DA结果控制LED的亮度,相关软件:ISE Design suit,硬件:xilinx FPGA开发板-Verilog HDL prepared with light sensors AD/DA program, AD results are displayed on LCD, DA of controlling LED brightness, software: ISE Design suit, hardware:
  3. 所属分类:Other systems

    • 发布日期:2017-03-04
    • 文件大小:759808
    • 提供者:renyini
  1. ADconversion

    0下载:
  2. Veriloghdl 代码使用ADC0809来进行ad转换,使用verilog hdl程序来进行ad转化-Veriloghdl ad code uses ADC0809 to convert, using the verilog hdl program to ad conversion
  3. 所属分类:assembly language

    • 发布日期:2017-04-30
    • 文件大小:10681
    • 提供者:朱宣同
  1. ADC_Tube

    0下载:
  2. 基于FPGA实现AD采集并通过数码管显示的程序 使用芯片为EP2C8Q208C8N,所用AD9280,使用Verilog语言编程,本例子有工程文件、仿真、波形,经过测试可以使用。-Based on FPGA chip AD acquisition and use of EP2C8Q208C8N, used AD9280, using Verilog language programming, the present examples are engineering documents,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1855274
    • 提供者:陈怡然
  1. MAX197-5STATE

    0下载:
  2. 使用Verilog在Quartus II下编写的MAX197 AD采集程序,系统时钟50MHz。经测试完全可使用。-Use Verilog in Quartus II prepared MAX197 AD collection procedures, the system clock 50MHz. Tested fully use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10849658
    • 提供者:carlosdon
  1. AD_filter

    0下载:
  2. 一个最简单的verilog实现的ad采样数据滤波的算法。可以用来学习ad数据的滤波.-One of the simplest ad sampled data filtering algorithm verilog achieve. Learning can be used to filter data ad
  3. 所属分类:Other systems

    • 发布日期:2017-05-06
    • 文件大小:977442
    • 提供者:tiangang
  1. FPGA_phase_lock_demodulation

    2下载:
  2. FPGA 用Verilog语言实现数字锁相解调系统,包含了正交的DDS函数发生器和相应的AD驱动-FPGA digital demodulation system in Verilog lock, comprising a DDS orthogonal function generator and driving the corresponding AD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:47524864
    • 提供者:gdres
  1. CCD_drive

    1下载:
  2. TCD1304 CCD 驱动 AD转 USB2.0传输(This code based on verilog language, worked on EP1C3T144 FPGA chip, developed on Quartus II 12.0 . The ccd's data transformed by USB2.0 after amplified and AD confromed.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:520192
    • 提供者:Vwin
  1. adc

    1下载:
  2. 使用verilog 硬件描述语言编写的ad采样模块,希望对大家有用。(Using Verilog hardware descr iption language written in AD sampling module, I hope useful for everyone)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:6785024
    • 提供者:ET@AF
  1. ad706_test

    0下载:
  2. AD7606的FPGA驱动,AD7606与FPGA通过并行模式连接。FPGA可以将AD采集到的信号转换成电压信号通过串口输出,可通过PC机串口调试助手查看。实测可用(The drive program of AD7606 write by verilog. FPGA can convert the AD7606'sigal to volatage and send the converted signal to PC through uart.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:14983168
    • 提供者:Pgaf
« 1 2 3 4 5 67 »
搜珍网 www.dssz.com